Akademska digitalna zbirka SLovenije - logo

Search results

Basic search    Expert search   

Currently you are NOT authorised to access e-resources SI consortium. For full access, REGISTER.

1 2 3 4 5
hits: 58
1.
  • Superconductor Standard Cel... Superconductor Standard Cell Library for Advanced EDA Design
    Meher, Sukanya Sagarika; Ravi, Jushya; Celik, Mustafa ... IEEE transactions on applied superconductivity, 08/2021, Volume: 31, Issue: 5
    Journal Article
    Peer reviewed

    Cell library is the keystone component that enables adoption of advanced electronic design automation (EDA) tools, such as logic synthesis and automatic place-and-route. The EDA tools are essential ...
Full text
Available for: IJS, NUK, UL
2.
  • Demonstration of interface ... Demonstration of interface circuits for adiabatic quantum-flux-parametron cell library using an eight-metal layer superconductor process
    Hironaka, Yuki; Meher, Sukanya S.; Ayala, Christopher L. ... IEEE transactions on applied superconductivity, 08/2023, Volume: 33, Issue: 5
    Journal Article
    Peer reviewed

    In this paper, we designed and demonstrated interface circuits between AQFP (adiabatic quantum-flux-parametron) and RSFQ (rapid single-flux-quantum) circuits according to the established AQFP and ...
Full text
Available for: IJS, NUK, UL
3.
  • Parametric Approach for Rou... Parametric Approach for Routing Power Nets and Passive Transmission Lines as Part of Digital Cells
    Meher, Sukanya Sagarika; Kanungo, Chandan; Shukla, Ashish ... IEEE transactions on applied superconductivity, 08/2019, Volume: 29, Issue: 5
    Journal Article
    Peer reviewed

    In design and layout of rapid single flux quantum circuits, multiple independent biases are often desired to provide flexibility in timings of critical paths. In absence of automated power routing, ...
Full text
Available for: IJS, NUK, UL
4.
  • Differential Propagation De... Differential Propagation Delay Measurement of RSFQ Library Cells Using Ring Oscillators
    Ravi, Jushya; Meher, Sukanya Sagarika; Sahu, Anubhav ... IEEE transactions on applied superconductivity, 06/2022, Volume: 32, Issue: 4
    Journal Article
    Peer reviewed

    Performing comprehensive timing characterization of library cells and establishing their model-to-hardware correlation are essential for building robust integrated circuits and scaling circuit ...
Full text
Available for: IJS, NUK, UL
5.
  • 50 GHz Operation of RSFQ Ar... 50 GHz Operation of RSFQ Arithmetic Logic Unit Designed using the Advanced Design Flow and the Dual RSFQ/ERSFQ Cell Library
    Inamdar, Amol; Meher, Sukanya S.; Chonigman, Benjamin ... IEEE transactions on applied superconductivity, 08/2023, Volume: 33, Issue: 5
    Journal Article
    Peer reviewed

    Arithmetic Logic Unit (ALU) is an integral part of digital signal processing applications and computing systems. We used ALU, based on Kogge-Stone adder, as a reference circuit to experimentally ...
Full text
Available for: IJS, NUK, UL
6.
  • An Integrated Approach towa... An Integrated Approach towards VLSI Implementation of SFQ Logic using Standard Cell Library and Commercial Tool Suite
    Meher, Sukanya S; Eren Çelik, M; Ravi, Jushya ... Journal of physics. Conference series, 06/2024, Volume: 2776, Issue: 1
    Journal Article
    Peer reviewed
    Open access

    Abstract The semiconductor industry seeks energy-efficient alternatives as Moore’s law nears its limits. The Single Flux Quantum (SFQ) integrated circuits (ICs) using thousands of niobium Josephson ...
Full text
Available for: NUK, UL, UM, UPUK
7.
  • Observations in Use of a Tu... Observations in Use of a Tunnel Junction Model in Simulations of Josephson Digital Circuits
    Whiteley, Stephen; Barker, Aaron; Mlinar, Eric ... IEEE transactions on applied superconductivity, 08/2023, Volume: 33, Issue: 5
    Journal Article
    Peer reviewed

    We have developed a microscopic tunneling Josephson junction compact model (TJM) framework and incorporated this in the Synopsys HSPICE commercial circuit simulator. Our model follows the Odintsov, ...
Full text
Available for: IJS, NUK, UL
8.
  • Optimization of Passive Tra... Optimization of Passive Transmission Lines for Single Flux Quantum Circuits
    Chonigman, Benjamin; Shukla, Ashish; Habib, Mustapha ... IEEE transactions on applied superconductivity, 08/2021, Volume: 31, Issue: 5
    Journal Article
    Peer reviewed

    Passive transmission lines (PTLs) provide an energy-efficient means of transporting pulsed single flux quantum (SFQ) signals between logic gates and blocks on a chip, or even between chips through a ...
Full text
Available for: IJS, NUK, UL
9.
  • Black-Box Optimization of S... Black-Box Optimization of Superconducting Circuits Using Reduced-Complexity Neural Networks
    Bansal, Shrestha; Chonigman, Benjamin; Puglisi, Chase ... IEEE transactions on applied superconductivity, 08/2021, Volume: 31, Issue: 5
    Journal Article
    Peer reviewed

    Single-flux quantum (SFQ) logic based high-speedperiodic-threshold flash converter circuits require multiple non-linear and correlated parameters tuned precisely to function optimally. These ...
Full text
Available for: IJS, NUK, UL
10.
  • Investigation of Passive Tr... Investigation of Passive Transmission Lines for the MIT-LL SFQ5ee Process
    Shukla, Ashish; Chonigman, Benjamin; Sahu, Anubhav ... IEEE transactions on applied superconductivity, 08/2019, Volume: 29, Issue: 5
    Journal Article
    Peer reviewed

    As the complexity of superconductor circuits grows, we envision a dense network of passive transmission lines (PTLs) being used to interconnect cells in rapid-single-flux-quantum (RSFQ) circuits. In ...
Full text
Available for: IJS, NUK, UL
1 2 3 4 5
hits: 58

Load filters