Akademska digitalna zbirka SLovenije - logo

Rezultati iskanja

Osnovno iskanje    Ukazno iskanje   

Trenutno NISTE avtorizirani za dostop do e-virov konzorcija SI. Za polni dostop se PRIJAVITE.

1 2 3 4 5
zadetkov: 112
11.
  • Methods of removing solvent... Methods of removing solvent-like residues from wafer backside bevel
    Sheng-Yuan Chang; Cheng-Yi Lung; An Chyi Wei ... ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference
    Conference Proceeding
    Recenzirano

    During silicon oxide hard-mask-based Al metal line patterning, the imperceptible CFx polymer featured with solvent-like residues around wafer backside bevel area was detected by energy dispersive ...
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
12.
  • CMP Process Optimization En... CMP Process Optimization Engineering by Machine Learning
    Yu, Hsiang-Meng; Lin, Chih-Chen; Hsu, Min-Hsuan ... IEEE transactions on semiconductor manufacturing, 08/2021, Letnik: 34, Številka: 3
    Journal Article
    Recenzirano

    Advanced Chemical-mechanical polishing (CMP) process not only needs to maintain stable run-to-run thickness control but also achieve better within wafer/within chip planarization performance. ...
Celotno besedilo
Dostopno za: IJS, NUK, UL
13.
  • Improvement of Multi-Lines ... Improvement of Multi-Lines Bridge Defect Classification by Hierarchical Architecture in Artificial Intelligence Automatic Defect Classification
    Lin, Bing-Sheng; Cheng, Jung-Syuan; Liao, Hsiang-Chou ... IEEE transactions on semiconductor manufacturing, 08/2021, Letnik: 34, Številka: 3
    Journal Article
    Recenzirano

    Defect classifications are the very important steps as the in-line defect inspection of the semiconductor manufacturing procedure. The conventional defect classifications are usually through visual ...
Celotno besedilo
Dostopno za: IJS, NUK, UL
14.
  • A Case of Plasma-Induced Film Breakdown in 3D NAND BEOL Dielectric Etch
    Liang, Ying-Hung; Chiu, Yuan-Chieh; Yang, Zusing ... 2024 35th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), 2024-May-13
    Conference Proceeding
    Recenzirano

    In the latest 3D NAND product development, top via hole etch process is almost the last hole connecting process to complete a device fabrication. However, we encountered severe film damaged defects ...
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
15.
  • Properties of N-rich Silico... Properties of N-rich Silicon Nitride Film Deposited by Plasma-Enhanced Atomic Layer Deposition
    Jhang, Pei-Ci; Lu, Chi-Pin; Shieh, Jung-Yu ... Solid-state electronics, July 2017, 2017-07-00, Letnik: 133
    Journal Article
    Recenzirano

    •N-rich silicon nitride film with a wide range N/Si ratio by PEALD process.•Study the kinetics of the PEALD process for N-rich silicon nitride films.•The application of N-rich silicon nitride films ...
Celotno besedilo
Dostopno za: GEOZS, IJS, IMTLJ, KILJ, KISLJ, NUK, OILJ, PNG, SAZU, SBCE, SBJE, UL, UM, UPCLJ, UPUK, ZRSKP
16.
  • A self-aligned double patte... A self-aligned double patterning technology using TiN as the sidewall spacer
    Yuan-Chieh Chiu; Shu-Sheng Yu; Fang-Hao Hsu ... 2012 SEMI Advanced Semiconductor Manufacturing Conference
    Conference Proceeding
    Recenzirano

    The TiN was conventionally used as barrier layers for both tungsten plug and AlCu metal lines. This paper reveals a novel back end of line (BEOL) self-aligned double patterning (SADP) technology, ...
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
17.
  • Blind contact detection in ... Blind contact detection in the irregularly periphery area using leap & scan e-beam inspection
    Hsiang-Chou Liao; Che-Lun Hung; Tuung Luoh ... 2011 e-Manufacturing & Design Collaboration Symposium & International Symposium on Semiconductor Manufacturing (eMDC & ISSM), 2011-Sept.
    Conference Proceeding

    A collection of slides from the authors' conference presentation about the blind contact detection in the irregularly periphery area using leap and scan e-beam inspection is presented.
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
18.
  • Influences of etcher chambe... Influences of etcher chamber condition on critical-dimension shifts in advanced floating gate etching process
    Sheng-Yuan Chang; Yu-Chung Chen; An Chyi Wei ... 2012 SEMI Advanced Semiconductor Manufacturing Conference
    Conference Proceeding
    Recenzirano

    The authors investigated the correlation between variation of post-etch critical dimension (ECD) and etcher chamber condition during floating gate etching process. This paper presents the ...
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
19.
  • Reduction of nuisance rate ... Reduction of nuisance rate in inspection using review/inspector cycle optimization methodology
    Chimin Chen; Yen Chuang; Che-Lun Hung ... 2011 e-Manufacturing & Design Collaboration Symposium & International Symposium on Semiconductor Manufacturing (eMDC & ISSM), 2011-Sept.
    Conference Proceeding

    Presents a collection of slides covering the following topics: inspection; review inspector cycle optimization methodology; PL3 HardMask etch nuisance rate reduction; STI etch nuisance rate reduction ...
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
20.
  • Metal grain suppression and... Metal grain suppression and DOI capture rate improvement in 32 nm technology node
    Hsiao-Leng Li; Che-Lung Hung; Tuung Luoh ... 2011 e-Manufacturing & Design Collaboration Symposium & International Symposium on Semiconductor Manufacturing (eMDC & ISSM), 2011-Sept.
    Conference Proceeding

    A collection of slides from the author's conference presentation about the metal grain suppression and DOI capture rate improvement in 32 nm technology node is presented.
Celotno besedilo
Dostopno za: IJS, NUK, UL, UM
1 2 3 4 5
zadetkov: 112

Nalaganje filtrov