Akademska digitalna zbirka SLovenije - logo
E-viri
Preverite dostopnost
Recenzirano
  • Miyazaki, Shinsuke; Nakamura, Hiroaki; Taniguchi, Hiroshi; Hachiya, Hitoshi; Ichihara, Noboru; Takagi, Takamitsu; Iwasawa, Jin; Kuroi, Akio; Watanabe, Tomonori; Hirao, Kenzo; Iesaka, Yoshito

    Heart rhythm, 05/2016, Letnik: 13, Številka: 5
    Journal Article

    Radiofrequency applications around pulmonary vein (PV) ostia often induce vagal reflexes. This study aimed to evaluate the impact of the order of the targeted PV on the vagal response during second-generation cryoballoon ablation. Eighty-one consecutive paroxysmal atrial fibrillation (AF) patients undergoing cryoballoon ablation were prospectively enrolled. PV isolation was performed with one 28-mm second-generation balloon using a 3-minute freeze technique. In the first 39 patients, the left superior PV (LSPV) was initially targeted. In the second 42, the LSPV was targeted following the right PVs. Baseline rhythms were sinus rhythm and AF in 34 and 5 patients in the first group, and 34 and 8 in the second group, respectively. In the first group, sinus bradycardia/arrest requiring back-up pacing occurred in 13 patients (38.2%) at a median of 41.0 (10.0-55.5) seconds after balloon deflation (90 60-100 seconds post freezing), and pauses requiring pacing in 1 (20.0%) with AF. In the second group, no sinus bradycardia/arrest occurred throughout the procedure; however, atrioventricular block requiring back-up pacing occurred 21 seconds after balloon deflation in 1 patient in whom right superior PV (RSPV) ablation was performed for only 60 seconds owing to right phrenic nerve injury. The cycle length was similar at baseline and post PV isolation between the 2 groups, and significantly shorter during RSPV ablation (P < .0001) in both. In total, marked vagal responses were significantly higher in the first than second group (14/39 vs 1/42, P < .0001). LSPV cryoballoon ablation often provoked marked vagal responses; however, preceding RSPV ablation markedly suppressed this response.