NUK - logo
E-resources
Peer reviewed Open access
  • ORION 2.0: A Power-Area Sim...
    Kahng, A. B.; Bin Li; Li-Shiuan Peh; Samadi, K.

    IEEE transactions on very large scale integration (VLSI) systems, 2012-Jan., 2012, 2012-1-00, 20120101, Volume: 20, Issue: 1
    Journal Article

    As industry moves towards multicore chips, networks-on-chip (NoCs) are emerging as the scalable fabric for interconnecting the cores. With power now the first-order design constraint, early-stage estimation of NoC power has become crucially important. In this work, we present ORION 2.0, an enhanced NoC power and area simulator, which offers significant accuracy improvement relative to its predecessor, ORION 1.0.