DIKUL - logo
E-resources
Full text
Peer reviewed
  • RSFQ/ERSFQ Cell Library Wit...
    Inamdar, Amol; Amparo, Denis; Sahoo, Bibhu; Jie Ren; Sahu, Anubhav

    IEEE transactions on applied superconductivity, 2017-June, 2017-6-00, Volume: 27, Issue: 4
    Journal Article

    We address all round development of the standard cell library including simulation, layout, and testing. We present a new circuit analysis scheme based on Monte-Carlo simulations and process corners. Using a phase modulation decoder as an example circuit, we identify weak spots in the design that was originally optimized for parameter margins. To support static timing analysis for very high complexity circuits, we describe the timing characterization of library cells as a function of its load, and demonstrate digital timing verification with timing back-annotation using Verilog hardware descriptive language. For the layout of library cells, we present architecture for the dual RSFQ/ERSFQ standard cell library for the MIT-LL, 10 kA/cm 2 , SFQ4EE and SFQ5EE processes. Testing and characterizing hundreds of library cells, including unique cells and their layout variations, is a challenge. For efficient characterization of the digital cells, we have developed an NDRO cell-based multiplexing scheme that lets us characterize hundreds of cells on a single chip. For better model-to-hardware correlation, we have implemented a differential delay measurement scheme using ring oscillators that facilitates timing characterization of the synchronous and asynchronous cells. We also report design and measurement of statistical variations for the critical current of decision-making pair of junctions.