DIKUL - logo

Rezultati iskanja

Osnovno iskanje    Ukazno iskanje   

Trenutno NISTE avtorizirani za dostop do e-virov UL. Za polni dostop se PRIJAVITE.

1 2 3 4
zadetkov: 34
1.
  • Statistical inference for a... Statistical inference for a stochastic wave equation with Malliavin-Stein method
    Delgado-Vences, Francisco; Pavon-Español, Jose Julian Stochastic analysis and applications, 05/2023, Letnik: 41, Številka: 3
    Journal Article
    Recenzirano

    In this paper, we study asymptotic properties of the maximum likelihood estimator (MLE) for the speed of a stochastic wave equation. We follow a well-known spectral approach to write the solution as ...
Celotno besedilo
Dostopno za: UL
2.
  • Vitruvius+: An Area-Efficie... Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing Applications
    Minervini, Francesco; Palomar, Oscar; Unsal, Osman ... ACM transactions on architecture and code optimization, 03/2023, Letnik: 20, Številka: 2
    Journal Article
    Recenzirano
    Odprti dostop

    The maturity level of RISC-V and the availability of domain-specific instruction set extensions, like vector processing, make RISC-V a good candidate for supporting the integration of specialized ...
Celotno besedilo
Dostopno za: UL
3.
  • VAQUERO: A Scratchpad-based Vector Accelerator for Query Processing
    Pavon, Julian; Valdivieso, Ivan Vargas; Marimon, Joan ... 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2023-Feb.
    Conference Proceeding

    Database Management Systems (DBMS) have be-come an essential tool for industry and research and are often a significant component of data centers. There have been many efforts to accelerate DBMS ...
Celotno besedilo
Dostopno za: UL
4.
  • VIA: A Smart Scratchpad for Vector Units with Application to Sparse Matrix Computations
    Pavon, Julian; Valdivieso, Ivan Vargas; Barredo, Adrian ... 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2021-Feb.
    Conference Proceeding
    Odprti dostop

    Sparse matrix operations are critical kernels in multiple application domains such as High Performance Computing, artificial intelligence and big data. Vector processing is widely used to improve ...
Celotno besedilo
Dostopno za: UL

PDF
5.
  • QUETZAL: Vector Acceleration Framework for Modern Genome Sequence Analysis Algorithms
    Pavon, Julian; Valdivieso, Ivan Vargas; Rojas, Carlos ... 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA), 2024-June-29
    Conference Proceeding

    Genome sequence analysis is fundamental to medical breakthroughs such as developing vaccines, enabling genome editing, and facilitating personalized medicine. The exponentially expanding sequencing ...
Celotno besedilo
Dostopno za: UL
6.
  • A Novel FPGA-Based High Throughput Accelerator For Binary Search Trees
    Melikoglu, Oyku; Ergin, Oguz; Salami, Behzad ... arXiv (Cornell University), 12/2019
    Paper, Journal Article
    Odprti dostop

    This paper presents a deeply pipelined and massively parallel Binary Search Tree (BST) accelerator for Field Programmable Gate Arrays (FPGAs). Our design relies on the extremely parallel on-chip ...
Celotno besedilo
Dostopno za: UL
7.
  • A Novel FPGA-Based High Throughput Accelerator For Binary Search Trees
    Melikoglu, Oyku; Ergin, Oguz; Salami, Behzad ... 2019 International Conference on High Performance Computing & Simulation (HPCS), 2019-July
    Conference Proceeding
    Odprti dostop

    This paper presents a deeply pipelined and massively parallel Binary Search Tree (BST) accelerator for Field Programmable Gate Arrays (FPGAs). Our design relies on the extremely parallel on-chip ...
Celotno besedilo
Dostopno za: UL

PDF
8.
  • Sargantana: An Academic SoC RISC-V Processor in 22nm FDSOI Technology
    Doblas, Max; Candon, Gerard; Carril, Xavier ... 2023 38th Conference on Design of Circuits and Integrated Systems (DCIS), 2023-Nov.-15
    Conference Proceeding

    This paper describes the Sargantana System on chip (SoC), a 64-bit RISC-V single core processor designed by a number of academic institutions and manufactured in 22 nm FDSOI technology: BSC, UPC, UB, ...
Celotno besedilo
Dostopno za: UL
9.
  • DVINO: A RISC-V Vector Processor Implemented in 65nm Technology
    Cabo, Guillem; Candon, Gerard; Carril, Xavier ... 2022 37th Conference on Design of Circuits and Integrated Circuits (DCIS), 2022-Nov.-16
    Conference Proceeding
    Odprti dostop

    This paper describes the design, verification, implementation and fabrication of the Drac Vector IN-Order (DVINO) processor, a RISC-V vector processor capable of booting Linux jointly developed by ...
Celotno besedilo
Dostopno za: UL
10.
  • An Academic RISC-V Silicon Implementation Based on Open-Source Components
    Abella, Jaume; Bulla, Calvin; Cabo, Guillem ... 2020 XXXV Conference on Design of Circuits and Integrated Systems (DCIS), 2020-Nov.-18
    Conference Proceeding
    Odprti dostop

    The design presented in this paper, called preDRAC, is a RISC-V general purpose processor capable of booting Linux jointly developed by BSC, CIC-IPN, IMB-CNM (CSIC), and UPC. The preDRAC processor is ...
Celotno besedilo
Dostopno za: UL

PDF
1 2 3 4
zadetkov: 34

Nalaganje filtrov