UNI-MB - logo
UMNIK - logo
 

Search results

Basic search    Expert search   

Currently you are NOT authorised to access e-resources UM. For full access, REGISTER.

1 2 3 4 5
hits: 1,250
1.
  • Quand cyclones, pluies et pollution interrogent les liens des Kanak à leurs rivières et participent au renouvellement des savoirs écologiques (Thio, Nouvelle-Calédonie)
    Gosset, L.; Sabinot, Catherine; Worliczek, E. Ethnographiques.org, 2019 38
    Journal Article
    Peer reviewed

    La commune de Thio en Nouvelle-Calédonie connait une hypersédimentation et un engravement massif de ses cours d’eau. Selon les habitants, ces processus sont majoritairement associés à l’activité ...
Full text
2.
  • Interface and material char... Interface and material characterization of thin Al2O3 layers deposited by ALD using TMA/H2O
    Gosset, L.G.; Damlencourt, J.-F.; Renault, O. ... Journal of non-crystalline solids, 05/2002, Volume: 303, Issue: 1
    Journal Article, Conference Proceeding
    Peer reviewed

    Thin Al2O3 layers were grown by atomic layer deposition using trimethylaluminum (TMA) and water as precursors on 1.2 nm thermal SiO2 and HF cleaned Si surfaces. The stoichiometry and the ...
Full text
3.
  • Advanced Cu interconnects u... Advanced Cu interconnects using air gaps
    Gosset, L.G.; Farcy, A.; de Pontcharra, J. ... Microelectronic engineering, 12/2005, Volume: 82, Issue: 3
    Journal Article, Conference Proceeding
    Peer reviewed

    The integration of air gaps for advanced Cu interconnects is mandatory to achieve the performances required for high performance integrated circuits (ICs). The interest of their introduction as a ...
Full text
4.
  • Impact of introducing CuSiN... Impact of introducing CuSiN self-aligned barriers in advanced copper interconnects
    Chhun, S.; Gosset, L.G.; Casanova, N. ... Microelectronic engineering, 12/2005, Volume: 82, Issue: 3
    Journal Article, Conference Proceeding
    Peer reviewed

    Self-aligned barriers have been widely investigated in the replacement of standard PECVD dielectric liners to decrease coupling capacitance. As an alternative to CVD or electroless approaches, a two ...
Full text
5.
Full text

PDF
6.
  • Integration of SiOC air gap... Integration of SiOC air gaps in copper interconnects
    Gosset, L.G; Arnal, V; Brun, Ph ... Microelectronic engineering, 11/2003, Volume: 70, Issue: 2
    Journal Article, Conference Proceeding
    Peer reviewed

    The formation of air gaps by means of a non-conformal chemical vapor deposition (CVD) on patterned wafers was successfully demonstrated using SiOC ( K=2.9) as inter-level metal dielectric. This paper ...
Full text
7.
  • Characterization and integr... Characterization and integration of a CVD porous SiOCH (k<2.5) with enhanced mechanical properties for 65 nm CMOS interconnects and below
    Chapelon, L.L.; Arnal, V.; Broekaart, M. ... Microelectronic engineering, 10/2004, Volume: 76, Issue: 1-4
    Journal Article, Conference Proceeding
    Peer reviewed

    Device performance for 65 nm node CMOS technology and beyond will require the integration of porous ultra-low-k materials with dielectric constant below 2.5, in order to reduce coupling effects ...
Full text
8.
  • Chemical etching solutions ... Chemical etching solutions for air gap formation using a sacrificial oxide/polymer approach
    Gaillard, F.; de Pontcharra, J.; Gosset, L.G. ... Microelectronic engineering, 11/2006, Volume: 83, Issue: 11
    Journal Article, Conference Proceeding
    Peer reviewed

    The formation of intra metal level “air cavities” using a sacrificial oxide in a Dual Damascene copper interconnect structure was investigated for different HF solutions. In this approach, HF ...
Full text
9.
  • New techniques to character... New techniques to characterize properties of advanced dielectric barriers for sub-65 nm technology node
    Vitiello, J.; Ducote, V.; Farcy, A. ... Microelectronic engineering, 11/2006, Volume: 83, Issue: 11
    Journal Article, Conference Proceeding
    Peer reviewed

    Of great interest for sub-65 nm interconnect technologies, low- k barriers are potentially sensitive to Cu diffusion and oxygen-based contamination, respectively leading to short circuits and to ...
Full text
10.
  • Influence of SiH4 process s... Influence of SiH4 process step on physical and electrical properties of advanced copper interconnects
    Chhun, S.; Gosset, L.G.; Casanova, N. ... Microelectronic engineering, 10/2004, Volume: 76, Issue: 1-4
    Journal Article, Conference Proceeding
    Peer reviewed

    Self-aligned barriers on copper are widely investigated as a promising solution to replace standard PECVD dielectric barriers for the 65 nm technology node and beyond. As an alternative to ...
Full text
1 2 3 4 5
hits: 1,250

Load filters